CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - keybord vhdl

搜索资源列表

  1. keybord

    0下载:
  2. 用Verilog语言实现4*4键盘扫描程序-using Verilog keyboard4*4
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:1110
    • 提供者:zhengyongrui
  1. aplicacion2

    0下载:
  2. contro keybord ps2 vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:5686
    • 提供者:mata
  1. keybord

    0下载:
  2. FPGA环境下,用VHDL语言实现键盘扫描功能。-FPGA environment, VHDL language keyboard scan function.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-09
    • 文件大小:23049
    • 提供者:吴霏羽
搜珍网 www.dssz.com